Modifications

Note

Proposals & Requirements List backlog from 1076-2019 (do NOT add new proposals there)

Attention

This is an experimental feature! GitLab’s GraphQL API is used for retrieving the issues from IEEE-P1076/VHDL-Issues, then filtering them in Python and generating restructuredtext tables with tabulate. However, the logic for actually tracking modification groups was not implemented yet, because no group was yet defined for VHDL-202X. For now, the issues corresponding to some specific labels are shown only.

All

ID

Up

Down

Title

Labels

311

1

0

Disaggregation of Expressions vis-a-vis […]

Language Quality: Inconsistency

310

0

0

Typo in 7.4. Extra “in”

Bug::Needs Confirmation, Typo, VHDL: ‘19

309

0

0

Using VHDL’s built in Write and Read with […]

Bug::Needs Confirmation

308

0

0

Error in LRM: Table G.2—Index range of […]

Bug::Needs Confirmation, Typo

307

0

0

Small typo in 15.2 - Character set

306

0

0

An empty sensitivity list in a process with […]

305

0

0

ReadLine

Bug::Needs Confirmation

304

0

0

‘instance_name and ‘path_name for […]

303

1

0

Note in S5.6.3 Should be edited given that […]

Bug::Needs Confirmation

302

1

0

Allow protected type declarations to be […]

Language Quality: Inconsistency

301

0

0

Phrasing of “It is erroneous” from […]

300

0

0

Clarify empty signed bit string

299

0

0

Sequential block statements can leak files

298

0

0

T’REFLECT should require T is fully constrained

297

0

0

rounding in to_ufixed/to_sfixed(real) not so […]

296

0

0

Overloaded “-“(DELTA: REAL; TREC: […]

295

0

0

In 6.1 for the definition of named entity, […]

LRM Reading

294

0

0

Allow type conversion on unconstrained […]

Enhancement, New, Type: Conversion

293

0

0

Missing named entity for views

Bug::Needs Confirmation, Clarification, Feature: Alias, Feature: Mode View, VHDL: ‘19

292

1

0

When using direct instantiation, allow […]

New

291

0

0

impure function scale for […]

Needs LRM Research

290

0

0

ISO-8859-1 vs. UTF-8 Encoding

LRM Reading

289

0

1

Time Resolution Wording

LRM Reading

288

0

0

Wrong comment for FILE_ORIGIN_KIND

Bug::Confirmed, Package: standard

287

0

0

to_hstring(…) and friends cause an out-of- […]

286

1

0

Automatic Record Constraints when defining […]

Enhancement, New, Type: Record

285

0

0

Subtype indication in array mode view indication

284

0

0

Improvements to declaration semicolons/commas/etc.

283

1

0

Allow deferred declarations in Entity + […]

Flow::New, Proposal

282

1

0

Shared variable declaration in sequential […]

Accepting LCS, Bug Fix, Bug::Confirmed

281

0

0

Not all constants should be globally static

280

2

0

Using abstract names with attributes of […]

New

279

0

0

A map like or list comprehension behavior […]

278

1

0

std.env.finish does not wait until current […]

API: VHPI, Package: env

277

1

0

HREAD can only read hex and std_logic X and […]

Bug::Needs Confirmation

276

1

0

Issues with to_01 for arrays of std_logic

Bug::Needs Confirmation

275

5

0

Requirement for static name of signals in […]

274

1

4

Change _vector declarations to use integer […]

273

0

0

Implicit operators for incomplete types.

272

0

0

generic/protected type incomplete type

New

271

0

2

Better library imports to aid in clarity

270

1

1

to_str aliases for to_string functions.

267

0

0

Dead code in numeric_std-body

Flow::New, Language Quality: Inconsistency, Next Meeting, Package: numeric_std

268

0

0

numeric_std missing test for null vectors in […]

Flow::New, Language Quality: Inconsistency, Next Meeting, Package: numeric_std

266

1

0

alias xyz is <<entity_path […]

New, Next Meeting

265

3

0

return of an access type from a function […]

New, Next Meeting

264

0

0

Inconsistency for […]

New, Next Meeting

263

0

0

Object designated by an access value problem

New, Next Meeting

262

0

0

Allow composites of uninstantiated protected […]

New, Next Meeting

261

0

0

Duplicated entry for ‘designated type’ in Glossary

New, Next Meeting

260

2

0

Possible Typo for access incomplete type

Bug::Confirmed

259

1

0

Declarations in VHDL-2019 std library use […]

Bug Fix::DirectoryAndCallPathTypedParamsNeedToBeVariable, Bug::Confirmed, Flow::Draft, Package: env, Subprogram: Function, Subprogram: Procedure, Type: Access

258

0

0

Expected behaviour of TOOL_VERSION function

Feature: Conditional Analysis, Question

257

1

0

Double parenthesis in array incomplete type syntax

LRM Reading, Next Meeting, Question, VHDL: ‘19

256

0

0

Missing text in section 6.5.7.3

Bug::Needs Confirmation, Next Meeting, Typo, VHDL: ‘19

255

0

0

Limitations of handling dynamic data structures.

Feature: Subprogram, LRM Reading, Question, Subprogram: Function, VHDL: ‘19

254

0

0

Refactor LRM 6.5.6 Interface Lists to move […]

Bug::Needs Confirmation, Next Meeting

253

1

0

Unconnected ports that are unconstrained arrays

New, Next Meeting

252

1

0

Provide a mechanism to get hold of implicit […]

Bug::Needs Confirmation, Next Meeting

251

0

0

Problem with private variable declaration syntax

New, Next Meeting

250

4

2

Subprogram overloading should consider […]

Duplicate, Enhancement, Feature: Overloading

249

3

0

Error in bit string literals example

Accepting LCS, Bug::Confirmed

248

1

0

Add “=” (l : array_type; r : element_type) […]

Flow::New, Next Meeting, Proposal

247

1

0

Allow Access types to be closely related

Flow::New, Next Meeting, Proposal

269

1

0

Missing function declaration in fixed_pkg

Flow::New, Language Quality: Inconsistency, Next Meeting

246

2

0

Length-Free Conversion

Change::LengthFreeConversion, Flow::Draft, Proposal

245

1

0

Make packages easier to test

New

244

3

0

Length-Free Conversion - to_xxx for […]

Change::LengthFreeConversion, Flow::Draft, Proposal

243

0

0

Make declarations in the entity declarative […]

Design Unit: Entity, New

242

1

0

Allow subtypes of composite types where […]

Flow::New, Proposal

241

2

0

Allow generic subprograms to be mapped to […]

Feature: Subprogram, New

240

0

0

Purpose for fixed_pkg.scalb is unclear

New, Next Meeting

239

0

0

G.4.5 scalb code will not compile

Bug::Confirmed, New, Next Meeting

238

3

0

view declarations and others

Feature: Mode View, New

237

1

0

Allow alias to anything in a protected type […]

Feature: Alias, New

236

0

0

Allow complete generic type definitions to […]

Feature: Type, New, Type: Incomplete

235

1

0

Instantiation of components/entities […]

Feature: Conditional Analysis, Needs LRM Research

234

1

0

Defect in example - 6.5.3.4 File Interface […]

Bug::Confirmed, Feature: Type, Next Meeting, Type: Incomplete, Typo

233

1

0

math_real.uniform and error on seed values […]

New, Next Meeting

232

0

0

Allow Access Types to be Constant

New, Type: Access

231

0

0

Scope of Use Clauses - Can Use Clause Hide a […]

Bug::Needs Confirmation, LRM Reading, New

230

0

0

Error in example in 4.5.1 Subprogram […]

Bug::Needs Confirmation, New

229

0

0

CALL_PATH_VECTOR_PTR and TO_STRING

New, Next Meeting

228

0

0

Shared Variables and Pointers

New, Next Meeting

227

1

0

SetVhdlAssertFormat with just a format string

New, Next Meeting

226

0

1

Proposed update to Analysis and Elaboration […]

New, Next Meeting

225

0

0

What should happen when the analysis of a […]

New, Next Meeting

224

0

0

Add one-to-many association for registered […]

API: VHPI, Enhancement, Needs LRM Research

223

0

0

Shared Variables and Protected Types and Pointers

LRM Reading, New, Type: Protected

222

0

0

For loop variable to take value from array.

Feature: Alias, New

221

2

0

Read functions that return the value from a line

New, Package: textio

220

1

0

Type Integer and mod by integer’right+1

Feature: Type, New, Type: Scalar: Integer

219

2

0

Getting Library Name

New, Next Meeting

218

0

0

Configurations and small variations

New, Next Meeting

217

0

0

Resolution functions and purity

New, Next Meeting

216

0

0

Pointer to record with discriminant

Feature: Type, Type: Access, Type: Discriminants (new)

215

2

0

TextIO Read as a function

New, Package: textio

214

0

0

Formal Generic Protected Types and Abstract […]

New, Next Meeting

213

0

0

Tagged Records

Feature: Type, New

212

2

0

Function EndLine

New, Package: textio

Enhancements

ID

Up

Down

Title

Labels

294

0

0

Allow type conversion on unconstrained […]

Enhancement, New, Type: Conversion

286

1

0

Automatic Record Constraints when defining […]

Enhancement, New, Type: Record

250

4

2

Subprogram overloading should consider […]

Duplicate, Enhancement, Feature: Overloading

224

0

0

Add one-to-many association for registered […]

API: VHPI, Enhancement, Needs LRM Research

Bugs

Confirmed

ID

Up

Down

Title

Labels

288

0

0

Wrong comment for FILE_ORIGIN_KIND

Bug::Confirmed, Package: standard

282

1

0

Shared variable declaration in sequential […]

Accepting LCS, Bug Fix, Bug::Confirmed

260

2

0

Possible Typo for access incomplete type

Bug::Confirmed

259

1

0

Declarations in VHDL-2019 std library use […]

Bug Fix::DirectoryAndCallPathTypedParamsNeedToBeVariable, Bug::Confirmed, Flow::Draft, Package: env, Subprogram: Function, Subprogram: Procedure, Type: Access

249

3

0

Error in bit string literals example

Accepting LCS, Bug::Confirmed

239

0

0

G.4.5 scalb code will not compile

Bug::Confirmed, New, Next Meeting

234

1

0

Defect in example - 6.5.3.4 File Interface […]

Bug::Confirmed, Feature: Type, Next Meeting, Type: Incomplete, Typo

Needs confirmation

ID

Up

Down

Title

Labels

310

0

0

Typo in 7.4. Extra “in”

Bug::Needs Confirmation, Typo, VHDL: ‘19

309

0

0

Using VHDL’s built in Write and Read with […]

Bug::Needs Confirmation

308

0

0

Error in LRM: Table G.2—Index range of […]

Bug::Needs Confirmation, Typo

305

0

0

ReadLine

Bug::Needs Confirmation

303

1

0

Note in S5.6.3 Should be edited given that […]

Bug::Needs Confirmation

293

0

0

Missing named entity for views

Bug::Needs Confirmation, Clarification, Feature: Alias, Feature: Mode View, VHDL: ‘19

277

1

0

HREAD can only read hex and std_logic X and […]

Bug::Needs Confirmation

276

1

0

Issues with to_01 for arrays of std_logic

Bug::Needs Confirmation

256

0

0

Missing text in section 6.5.7.3

Bug::Needs Confirmation, Next Meeting, Typo, VHDL: ‘19

254

0

0

Refactor LRM 6.5.6 Interface Lists to move […]

Bug::Needs Confirmation, Next Meeting

252

1

0

Provide a mechanism to get hold of implicit […]

Bug::Needs Confirmation, Next Meeting

231

0

0

Scope of Use Clauses - Can Use Clause Hide a […]

Bug::Needs Confirmation, LRM Reading, New

230

0

0

Error in example in 4.5.1 Subprogram […]

Bug::Needs Confirmation, New

APIs

VHPI

ID

Up

Down

Title

Labels

278

1

0

std.env.finish does not wait until current […]

API: VHPI, Package: env

224

0

0

Add one-to-many association for registered […]

API: VHPI, Enhancement, Needs LRM Research